“CW310” Target : Pinout and Pinmux Connectivity

Pinout Table

Pad Name

Type

Bank

Connection

Special Function

Pinmux Insel Constant / Muxed Output Index

Description

POR_N

InputStd

VCC

manual

-

- / -

System reset

SPI_HOST_D0

BidirStd

VIOA

direct

-

- / -

SPI host data

SPI_HOST_D1

BidirStd

VIOA

direct

-

- / -

SPI host data

SPI_HOST_D2

BidirStd

VIOA

direct

-

- / -

SPI host data

SPI_HOST_D3

BidirStd

VIOA

direct

-

- / -

SPI host data

SPI_HOST_CLK

BidirStd

VIOA

direct

-

- / -

SPI host clock

SPI_HOST_CS_L

BidirStd

VIOA

direct

-

- / -

SPI host chip select

SPI_DEV_D0

BidirStd

VIOA

direct

-

- / -

SPI device data

SPI_DEV_D1

BidirStd

VIOA

direct

-

- / -

SPI device data

SPI_DEV_D2

BidirStd

VIOA

direct

-

- / -

SPI device data

SPI_DEV_D3

BidirStd

VIOA

direct

-

- / -

SPI device data

SPI_DEV_CLK

InputStd

VIOA

direct

-

- / -

SPI device clock

SPI_DEV_CS_L

InputStd

VIOA

direct

-

- / -

SPI device chip select

IOA0

BidirStd

VIOA

muxed

-

kTopEarlgreyPinmuxInselIoa0 / kTopEarlgreyPinmuxMioOutIoa0

Muxed IO pad

IOA1

BidirStd

VIOA

muxed

-

kTopEarlgreyPinmuxInselIoa1 / kTopEarlgreyPinmuxMioOutIoa1

Muxed IO pad

IOA2

BidirStd

VIOA

muxed

-

kTopEarlgreyPinmuxInselIoa2 / kTopEarlgreyPinmuxMioOutIoa2

Muxed IO pad

IOA3

BidirStd

VIOA

muxed

-

kTopEarlgreyPinmuxInselIoa3 / kTopEarlgreyPinmuxMioOutIoa3

Muxed IO pad

IOA4

BidirStd

VIOA

muxed

-

kTopEarlgreyPinmuxInselIoa4 / kTopEarlgreyPinmuxMioOutIoa4

Muxed IO pad

IOA5

BidirStd

VIOA

muxed

-

kTopEarlgreyPinmuxInselIoa5 / kTopEarlgreyPinmuxMioOutIoa5

Muxed IO pad

IOA6

BidirOd

VIOA

muxed

-

kTopEarlgreyPinmuxInselIoa6 / kTopEarlgreyPinmuxMioOutIoa6

Muxed IO pad

IOA7

BidirOd

VIOA

muxed

-

kTopEarlgreyPinmuxInselIoa7 / kTopEarlgreyPinmuxMioOutIoa7

Muxed IO pad

IOA8

BidirOd

VIOA

muxed

-

kTopEarlgreyPinmuxInselIoa8 / kTopEarlgreyPinmuxMioOutIoa8

Muxed IO pad

IOB0

BidirStd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob0 / kTopEarlgreyPinmuxMioOutIob0

Muxed IO pad

IOB1

BidirStd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob1 / kTopEarlgreyPinmuxMioOutIob1

Muxed IO pad

IOB2

BidirStd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob2 / kTopEarlgreyPinmuxMioOutIob2

Muxed IO pad

IOB3

BidirStd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob3 / kTopEarlgreyPinmuxMioOutIob3

Muxed IO pad

IOB4

BidirStd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob4 / kTopEarlgreyPinmuxMioOutIob4

Muxed IO pad

IOB5

BidirStd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob5 / kTopEarlgreyPinmuxMioOutIob5

Muxed IO pad

IOB6

BidirStd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob6 / kTopEarlgreyPinmuxMioOutIob6

Muxed IO pad

IOB7

BidirStd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob7 / kTopEarlgreyPinmuxMioOutIob7

Muxed IO pad

IOB8

BidirStd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob8 / kTopEarlgreyPinmuxMioOutIob8

Muxed IO pad

IOB9

BidirOd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob9 / kTopEarlgreyPinmuxMioOutIob9

Muxed IO pad

IOB10

BidirOd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob10 / kTopEarlgreyPinmuxMioOutIob10

Muxed IO pad

IOB11

BidirOd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob11 / kTopEarlgreyPinmuxMioOutIob11

Muxed IO pad

IOB12

BidirOd

VIOB

muxed

-

kTopEarlgreyPinmuxInselIob12 / kTopEarlgreyPinmuxMioOutIob12

Muxed IO pad

IOC0

BidirStd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc0 / kTopEarlgreyPinmuxMioOutIoc0

Muxed IO pad

IOC1

BidirStd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc1 / kTopEarlgreyPinmuxMioOutIoc1

Muxed IO pad

IOC2

BidirStd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc2 / kTopEarlgreyPinmuxMioOutIoc2

Muxed IO pad

IOC3

BidirStd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc3 / kTopEarlgreyPinmuxMioOutIoc3

Muxed IO pad

IOC4

BidirStd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc4 / kTopEarlgreyPinmuxMioOutIoc4

Muxed IO pad

IOC5

BidirStd

VCC

muxed

tap1

kTopEarlgreyPinmuxInselIoc5 / kTopEarlgreyPinmuxMioOutIoc5

Muxed IO pad / TAP strap signal.

IOC6

BidirStd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc6 / kTopEarlgreyPinmuxMioOutIoc6

Muxed IO pad

IOC7

BidirStd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc7 / kTopEarlgreyPinmuxMioOutIoc7

Muxed IO pad

IOC8

BidirStd

VCC

muxed

tap0

kTopEarlgreyPinmuxInselIoc8 / kTopEarlgreyPinmuxMioOutIoc8

Muxed IO pad / TAP strap signal.

IOC9

BidirStd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc9 / kTopEarlgreyPinmuxMioOutIoc9

Muxed IO pad

IOC10

BidirOd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc10 / kTopEarlgreyPinmuxMioOutIoc10

Muxed IO pad

IOC11

BidirOd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc11 / kTopEarlgreyPinmuxMioOutIoc11

Muxed IO pad

IOC12

BidirOd

VCC

muxed

-

kTopEarlgreyPinmuxInselIoc12 / kTopEarlgreyPinmuxMioOutIoc12

Muxed IO pad

IOR0

BidirStd

VCC

muxed

tms

kTopEarlgreyPinmuxInselIor0 / kTopEarlgreyPinmuxMioOutIor0

Muxed IO pad / JTAG tms signal.

IOR1

BidirStd

VCC

muxed

tdo

kTopEarlgreyPinmuxInselIor1 / kTopEarlgreyPinmuxMioOutIor1

Muxed IO pad / JTAG tdo signal.

IOR2

BidirStd

VCC

muxed

tdi

kTopEarlgreyPinmuxInselIor2 / kTopEarlgreyPinmuxMioOutIor2

Muxed IO pad / JTAG tdi signal.

IOR3

BidirStd

VCC

muxed

tck

kTopEarlgreyPinmuxInselIor3 / kTopEarlgreyPinmuxMioOutIor3

Muxed IO pad / JTAG tck signal.

IOR4

BidirStd

VCC

muxed

trst_n

kTopEarlgreyPinmuxInselIor4 / kTopEarlgreyPinmuxMioOutIor4

Muxed IO pad / JTAG trst_n signal.

IOR5

BidirStd

VCC

muxed

dft0

kTopEarlgreyPinmuxInselIor5 / kTopEarlgreyPinmuxMioOutIor5

Muxed IO pad / DFT strap signal.

IOR6

BidirStd

VCC

muxed

-

kTopEarlgreyPinmuxInselIor6 / kTopEarlgreyPinmuxMioOutIor6

Muxed IO pad

IOR7

BidirStd

VCC

muxed

dft1

kTopEarlgreyPinmuxInselIor7 / kTopEarlgreyPinmuxMioOutIor7

Muxed IO pad / DFT strap signal.

IOR8

BidirOd

VCC

direct

-

- / -

Dedicated sysrst_ctrl output (ec_rst_l)

IOR9

BidirOd

VCC

direct

-

- / -

Dedicated sysrst_ctrl output (flash_wp_l))

IOR10

BidirOd

VCC

muxed

-

kTopEarlgreyPinmuxInselIor10 / kTopEarlgreyPinmuxMioOutIor10

Muxed IO pad

IOR11

BidirOd

VCC

muxed

-

kTopEarlgreyPinmuxInselIor11 / kTopEarlgreyPinmuxMioOutIor11

Muxed IO pad

IOR12

BidirOd

VCC

muxed

-

kTopEarlgreyPinmuxInselIor12 / kTopEarlgreyPinmuxMioOutIor12

Muxed IO pad

IOR13

BidirOd

VCC

muxed

-

kTopEarlgreyPinmuxInselIor13 / kTopEarlgreyPinmuxMioOutIor13

Muxed IO pad

IO_CLK

InputStd

VCC

manual

-

- / -

Extra clock input for FPGA target

POR_BUTTON_N

InputStd

VCC

manual

-

- / -

Power-on reset button input

IO_USB_CONNECT

BidirStd

VCC

manual

-

- / -

Manual USB UPHY signal for FPGA target

IO_USB_DP_TX

BidirStd

VCC

manual

-

- / -

Manual USB UPHY signal for FPGA target

IO_USB_DN_TX

BidirStd

VCC

manual

-

- / -

Manual USB UPHY signal for FPGA target

IO_USB_D_RX

BidirStd

VCC

manual

-

- / -

Manual USB UPHY signal for FPGA target

IO_USB_DP_RX

BidirStd

VCC

manual

-

- / -

Manual USB UPHY signal for FPGA target

IO_USB_DN_RX

BidirStd

VCC

manual

-

- / -

Manual USB UPHY signal for FPGA target

IO_USB_OE_N

BidirStd

VCC

manual

-

- / -

Manual USB UPHY signal for FPGA target

IO_USB_SPEED

BidirStd

VCC

manual

-

- / -

Manual USB UPHY signal for FPGA target

IO_USB_SUSPEND

BidirStd

VCC

manual

-

- / -

Manual USB UPHY signal for FPGA target

IO_CLKOUT

BidirStd

VCC

manual

-

- / -

Manual clock output for SCA setup

IO_TRIGGER

BidirStd

VCC

manual

-

- / -

Manual trigger output for SCA setup

Pinmux Connectivity

Module / Signal

Connection

Pad

Pinmux Outsel Constant / Peripheral Input Index

Description

usbdev_usb_dp

manual

-

- / -

usbdev_usb_dn

manual

-

- / -

spi_host0_sd[0]

direct

SPI_HOST_D0

- / -

spi_host0_sd[1]

direct

SPI_HOST_D1

- / -

spi_host0_sd[2]

direct

SPI_HOST_D2

- / -

spi_host0_sd[3]

direct

SPI_HOST_D3

- / -

spi_device_sd[0]

direct

SPI_DEV_D0

- / -

spi_device_sd[1]

direct

SPI_DEV_D1

- / -

spi_device_sd[2]

direct

SPI_DEV_D2

- / -

spi_device_sd[3]

direct

SPI_DEV_D3

- / -

gpio_gpio[0]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio0 / kTopEarlgreyPinmuxPeripheralInGpioGpio0

gpio_gpio[1]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio1 / kTopEarlgreyPinmuxPeripheralInGpioGpio1

gpio_gpio[2]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio2 / kTopEarlgreyPinmuxPeripheralInGpioGpio2

gpio_gpio[3]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio3 / kTopEarlgreyPinmuxPeripheralInGpioGpio3

gpio_gpio[4]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio4 / kTopEarlgreyPinmuxPeripheralInGpioGpio4

gpio_gpio[5]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio5 / kTopEarlgreyPinmuxPeripheralInGpioGpio5

gpio_gpio[6]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio6 / kTopEarlgreyPinmuxPeripheralInGpioGpio6

gpio_gpio[7]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio7 / kTopEarlgreyPinmuxPeripheralInGpioGpio7

gpio_gpio[8]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio8 / kTopEarlgreyPinmuxPeripheralInGpioGpio8

gpio_gpio[9]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio9 / kTopEarlgreyPinmuxPeripheralInGpioGpio9

gpio_gpio[10]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio10 / kTopEarlgreyPinmuxPeripheralInGpioGpio10

gpio_gpio[11]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio11 / kTopEarlgreyPinmuxPeripheralInGpioGpio11

gpio_gpio[12]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio12 / kTopEarlgreyPinmuxPeripheralInGpioGpio12

gpio_gpio[13]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio13 / kTopEarlgreyPinmuxPeripheralInGpioGpio13

gpio_gpio[14]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio14 / kTopEarlgreyPinmuxPeripheralInGpioGpio14

gpio_gpio[15]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio15 / kTopEarlgreyPinmuxPeripheralInGpioGpio15

gpio_gpio[16]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio16 / kTopEarlgreyPinmuxPeripheralInGpioGpio16

gpio_gpio[17]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio17 / kTopEarlgreyPinmuxPeripheralInGpioGpio17

gpio_gpio[18]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio18 / kTopEarlgreyPinmuxPeripheralInGpioGpio18

gpio_gpio[19]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio19 / kTopEarlgreyPinmuxPeripheralInGpioGpio19

gpio_gpio[20]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio20 / kTopEarlgreyPinmuxPeripheralInGpioGpio20

gpio_gpio[21]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio21 / kTopEarlgreyPinmuxPeripheralInGpioGpio21

gpio_gpio[22]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio22 / kTopEarlgreyPinmuxPeripheralInGpioGpio22

gpio_gpio[23]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio23 / kTopEarlgreyPinmuxPeripheralInGpioGpio23

gpio_gpio[24]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio24 / kTopEarlgreyPinmuxPeripheralInGpioGpio24

gpio_gpio[25]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio25 / kTopEarlgreyPinmuxPeripheralInGpioGpio25

gpio_gpio[26]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio26 / kTopEarlgreyPinmuxPeripheralInGpioGpio26

gpio_gpio[27]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio27 / kTopEarlgreyPinmuxPeripheralInGpioGpio27

gpio_gpio[28]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio28 / kTopEarlgreyPinmuxPeripheralInGpioGpio28

gpio_gpio[29]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio29 / kTopEarlgreyPinmuxPeripheralInGpioGpio29

gpio_gpio[30]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio30 / kTopEarlgreyPinmuxPeripheralInGpioGpio30

gpio_gpio[31]

muxed

-

kTopEarlgreyPinmuxOutselGpioGpio31 / kTopEarlgreyPinmuxPeripheralInGpioGpio31

i2c0_sda

muxed

-

kTopEarlgreyPinmuxOutselI2c0Sda / kTopEarlgreyPinmuxPeripheralInI2c0Sda

i2c0_scl

muxed

-

kTopEarlgreyPinmuxOutselI2c0Scl / kTopEarlgreyPinmuxPeripheralInI2c0Scl

i2c1_sda

muxed

-

kTopEarlgreyPinmuxOutselI2c1Sda / kTopEarlgreyPinmuxPeripheralInI2c1Sda

i2c1_scl

muxed

-

kTopEarlgreyPinmuxOutselI2c1Scl / kTopEarlgreyPinmuxPeripheralInI2c1Scl

i2c2_sda

muxed

-

kTopEarlgreyPinmuxOutselI2c2Sda / kTopEarlgreyPinmuxPeripheralInI2c2Sda

i2c2_scl

muxed

-

kTopEarlgreyPinmuxOutselI2c2Scl / kTopEarlgreyPinmuxPeripheralInI2c2Scl

spi_host1_sd[0]

muxed

-

kTopEarlgreyPinmuxOutselSpiHost1Sd0 / kTopEarlgreyPinmuxPeripheralInSpiHost1Sd0

spi_host1_sd[1]

muxed

-

kTopEarlgreyPinmuxOutselSpiHost1Sd1 / kTopEarlgreyPinmuxPeripheralInSpiHost1Sd1

spi_host1_sd[2]

muxed

-

kTopEarlgreyPinmuxOutselSpiHost1Sd2 / kTopEarlgreyPinmuxPeripheralInSpiHost1Sd2

spi_host1_sd[3]

muxed

-

kTopEarlgreyPinmuxOutselSpiHost1Sd3 / kTopEarlgreyPinmuxPeripheralInSpiHost1Sd3

sysrst_ctrl_aon_ec_rst_l

direct

IOR8

- / -

sysrst_ctrl_aon_flash_wp_l

direct

IOR9

- / -

spi_device_sck

direct

SPI_DEV_CLK

- / -

spi_device_csb

direct

SPI_DEV_CS_L

- / -

uart0_rx

muxed

-

- / kTopEarlgreyPinmuxPeripheralInUart0Rx

uart1_rx

muxed

-

- / kTopEarlgreyPinmuxPeripheralInUart1Rx

uart2_rx

muxed

-

- / kTopEarlgreyPinmuxPeripheralInUart2Rx

uart3_rx

muxed

-

- / kTopEarlgreyPinmuxPeripheralInUart3Rx

spi_device_tpm_csb

muxed

-

- / kTopEarlgreyPinmuxPeripheralInSpiDeviceTpmCsb

flash_ctrl_tck

muxed

-

- / kTopEarlgreyPinmuxPeripheralInFlashCtrlTck

flash_ctrl_tms

muxed

-

- / kTopEarlgreyPinmuxPeripheralInFlashCtrlTms

flash_ctrl_tdi

muxed

-

- / kTopEarlgreyPinmuxPeripheralInFlashCtrlTdi

sysrst_ctrl_aon_ac_present

muxed

-

- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonAcPresent

sysrst_ctrl_aon_key0_in

muxed

-

- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonKey0In

sysrst_ctrl_aon_key1_in

muxed

-

- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonKey1In

sysrst_ctrl_aon_key2_in

muxed

-

- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonKey2In

sysrst_ctrl_aon_pwrb_in

muxed

-

- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonPwrbIn

sysrst_ctrl_aon_lid_open

muxed

-

- / kTopEarlgreyPinmuxPeripheralInSysrstCtrlAonLidOpen

usbdev_sense

muxed

-

- / kTopEarlgreyPinmuxPeripheralInUsbdevSense

spi_host0_sck

direct

SPI_HOST_CLK

- / -

spi_host0_csb

direct

SPI_HOST_CS_L

- / -

uart0_tx

muxed

-

kTopEarlgreyPinmuxOutselUart0Tx / -

uart1_tx

muxed

-

kTopEarlgreyPinmuxOutselUart1Tx / -

uart2_tx

muxed

-

kTopEarlgreyPinmuxOutselUart2Tx / -

uart3_tx

muxed

-

kTopEarlgreyPinmuxOutselUart3Tx / -

pattgen_pda0_tx

muxed

-

kTopEarlgreyPinmuxOutselPattgenPda0Tx / -

pattgen_pcl0_tx

muxed

-

kTopEarlgreyPinmuxOutselPattgenPcl0Tx / -

pattgen_pda1_tx

muxed

-

kTopEarlgreyPinmuxOutselPattgenPda1Tx / -

pattgen_pcl1_tx

muxed

-

kTopEarlgreyPinmuxOutselPattgenPcl1Tx / -

spi_host1_sck

muxed

-

kTopEarlgreyPinmuxOutselSpiHost1Sck / -

spi_host1_csb

muxed

-

kTopEarlgreyPinmuxOutselSpiHost1Csb / -

flash_ctrl_tdo

muxed

-

kTopEarlgreyPinmuxOutselFlashCtrlTdo / -

sensor_ctrl_aon_ast_debug_out[0]

muxed

-

kTopEarlgreyPinmuxOutselSensorCtrlAonAstDebugOut0 / -

sensor_ctrl_aon_ast_debug_out[1]

muxed

-

kTopEarlgreyPinmuxOutselSensorCtrlAonAstDebugOut1 / -

sensor_ctrl_aon_ast_debug_out[2]

muxed

-

kTopEarlgreyPinmuxOutselSensorCtrlAonAstDebugOut2 / -

sensor_ctrl_aon_ast_debug_out[3]

muxed

-

kTopEarlgreyPinmuxOutselSensorCtrlAonAstDebugOut3 / -

sensor_ctrl_aon_ast_debug_out[4]

muxed

-

kTopEarlgreyPinmuxOutselSensorCtrlAonAstDebugOut4 / -

sensor_ctrl_aon_ast_debug_out[5]

muxed

-

kTopEarlgreyPinmuxOutselSensorCtrlAonAstDebugOut5 / -

sensor_ctrl_aon_ast_debug_out[6]

muxed

-

kTopEarlgreyPinmuxOutselSensorCtrlAonAstDebugOut6 / -

sensor_ctrl_aon_ast_debug_out[7]

muxed

-

kTopEarlgreyPinmuxOutselSensorCtrlAonAstDebugOut7 / -

sensor_ctrl_aon_ast_debug_out[8]

muxed

-

kTopEarlgreyPinmuxOutselSensorCtrlAonAstDebugOut8 / -

pwm_aon_pwm[0]

muxed

-

kTopEarlgreyPinmuxOutselPwmAonPwm0 / -

pwm_aon_pwm[1]

muxed

-

kTopEarlgreyPinmuxOutselPwmAonPwm1 / -

pwm_aon_pwm[2]

muxed

-

kTopEarlgreyPinmuxOutselPwmAonPwm2 / -

pwm_aon_pwm[3]

muxed

-

kTopEarlgreyPinmuxOutselPwmAonPwm3 / -

pwm_aon_pwm[4]

muxed

-

kTopEarlgreyPinmuxOutselPwmAonPwm4 / -

pwm_aon_pwm[5]

muxed

-

kTopEarlgreyPinmuxOutselPwmAonPwm5 / -

otp_ctrl_test[0]

muxed

-

kTopEarlgreyPinmuxOutselOtpCtrlTest0 / -

sysrst_ctrl_aon_bat_disable

muxed

-

kTopEarlgreyPinmuxOutselSysrstCtrlAonBatDisable / -

sysrst_ctrl_aon_key0_out

muxed

-

kTopEarlgreyPinmuxOutselSysrstCtrlAonKey0Out / -

sysrst_ctrl_aon_key1_out

muxed

-

kTopEarlgreyPinmuxOutselSysrstCtrlAonKey1Out / -

sysrst_ctrl_aon_key2_out

muxed

-

kTopEarlgreyPinmuxOutselSysrstCtrlAonKey2Out / -

sysrst_ctrl_aon_pwrb_out

muxed

-

kTopEarlgreyPinmuxOutselSysrstCtrlAonPwrbOut / -

sysrst_ctrl_aon_z3_wakeup

muxed

-

kTopEarlgreyPinmuxOutselSysrstCtrlAonZ3Wakeup / -