Testplan

Testpoints

Stage V1 Testpoints

smoke

Test: rom_ctrl_smoke

Smoke test exercising the main features of rom_ctrl.

Stimulus:

  • Create a random valid ROM where expected digest doesn’t match with the KMAC digest image and load into memory model
  • Allow the rom check to complete
  • Perform some random memory accesses
  • Create a random valid ROM where expected digest matches with the KMAC digest
  • Repeat steps 2 and 3.

Checks:

  • Check that all data supplied to kmac is correct
  • Check that the rom checking sequence gives the expected result
  • Check that the memory accesses return expected data
  • Check that pwrmgr_data_o.good is not asserted in first iteration.
  • Check that pwrmgr_data_o.good is asserted in second iteration.
  • Check that tile link accesses are blocked till pwrmgr_data_o.done is asserted.

csr_hw_reset

Test: rom_ctrl_csr_hw_reset

Verify the reset values as indicated in the RAL specification.

  • Write all CSRs with a random value.
  • Apply reset to the DUT as well as the RAL model.
  • Read each CSR and compare it against the reset value. it is mandatory to replicate this test for each reset that affects all or a subset of the CSRs.
  • It is mandatory to run this test for all available interfaces the CSRs are accessible from.
  • Shuffle the list of CSRs first to remove the effect of ordering.

csr_rw

Test: rom_ctrl_csr_rw

Verify accessibility of CSRs as indicated in the RAL specification.

  • Loop through each CSR to write it with a random value.
  • Read the CSR back and check for correctness while adhering to its access policies.
  • It is mandatory to run this test for all available interfaces the CSRs are accessible from.
  • Shuffle the list of CSRs first to remove the effect of ordering.

csr_bit_bash

Test: rom_ctrl_csr_bit_bash

Verify no aliasing within individual bits of a CSR.

  • Walk a 1 through each CSR by flipping 1 bit at a time.
  • Read the CSR back and check for correctness while adhering to its access policies.
  • This verify that writing a specific bit within the CSR did not affect any of the other bits.
  • It is mandatory to run this test for all available interfaces the CSRs are accessible from.
  • Shuffle the list of CSRs first to remove the effect of ordering.

csr_aliasing

Test: rom_ctrl_csr_aliasing

Verify no aliasing within the CSR address space.

  • Loop through each CSR to write it with a random value
  • Shuffle and read ALL CSRs back.
  • All CSRs except for the one that was written in this iteration should read back the previous value.
  • The CSR that was written in this iteration is checked for correctness while adhering to its access policies.
  • It is mandatory to run this test for all available interfaces the CSRs are accessible from.
  • Shuffle the list of CSRs first to remove the effect of ordering.

csr_mem_rw_with_rand_reset

Test: rom_ctrl_csr_mem_rw_with_rand_reset

Verify random reset during CSR/memory access.

  • Run csr_rw sequence to randomly access CSRs
  • If memory exists, run mem_partial_access in parallel with csr_rw
  • Randomly issue reset and then use hw_reset sequence to check all CSRs are reset to default value
  • It is mandatory to run this test for all available interfaces the CSRs are accessible from.

regwen_csr_and_corresponding_lockable_csr

Tests:

  • rom_ctrl_csr_rw
  • rom_ctrl_csr_aliasing

Verify regwen CSR and its corresponding lockable CSRs.

  • Randomly access all CSRs
  • Test when regwen CSR is set, its corresponding lockable CSRs become read-only registers

Note:

  • If regwen CSR is HW read-only, this feature can be fully tested by common CSR tests - csr_rw and csr_aliasing.
  • If regwen CSR is HW updated, a separate test should be created to test it.

This is only applicable if the block contains regwen and locakable CSRs.

mem_walk

Test: rom_ctrl_mem_walk

Verify accessibility of all memories in the design.

  • Run the standard UVM mem walk sequence on all memories in the RAL model.
  • It is mandatory to run this test from all available interfaces the memories are accessible from.

mem_partial_access

Test: rom_ctrl_mem_partial_access

Verify partial-accessibility of all memories in the design.

  • Do partial reads and writes into the memories and verify the outcome for correctness.
  • Also test outstanding access on memories

Stage V2 Testpoints

max_throughput_chk

Test: rom_ctrl_max_throughput_chk

This test is intended to test the max throughput of ROM controller. It takes N+1 cycles to finish N ROM read accesses.

Checks:

  • Check if N read accesses finish in N+1 cycles.

stress_all

Test: rom_ctrl_stress_all

  • Combine above sequences in one test to run sequentially.
  • Randomly add reset between each sequence

kmac_err_chk

Test: rom_ctrl_kmac_err_chk

  • Generate error from KMAC when it responds with KMAC digest image.
  • Check that ROM controller goes into invalid state

alert_test

Test: rom_ctrl_alert_test

Verify common alert_test CSR that allows SW to mock-inject alert requests.

  • Enable a random set of alert requests by writing random value to alert_test CSR.
  • Check each alert_tx.alert_p pin to verify that only the requested alerts are triggered.
  • During alert_handshakes, write alert_test CSR again to verify that: If alert_test writes to current ongoing alert handshake, the alert_test request will be ignored. If alert_test writes to current idle alert handshake, a new alert_handshake should be triggered.
  • Wait for the alert handshakes to finish and verify alert_tx.alert_p pins all sets back to 0.
  • Repeat the above steps a bunch of times.

tl_d_oob_addr_access

Test: rom_ctrl_tl_errors

Access out of bounds address and verify correctness of response / behavior

tl_d_illegal_access

Test: rom_ctrl_tl_errors

Drive unsupported requests via TL interface and verify correctness of response / behavior. Below error cases are tested bases on the TLUL spec

  • TL-UL protocol error cases
    • invalid opcode
    • some mask bits not set when opcode is PutFullData
    • mask does not match the transfer size, e.g. a_address = 0x00, a_size = 0, a_mask = 'b0010
    • mask and address misaligned, e.g. a_address = 0x01, a_mask = 'b0001
    • address and size aren’t aligned, e.g. a_address = 0x01, a_size != 0
    • size is greater than 2
  • OpenTitan defined error cases
    • access unmapped address, expect d_error = 1
    • write a CSR with unaligned address, e.g. a_address[1:0] != 0
    • write a CSR less than its width, e.g. when CSR is 2 bytes wide, only write 1 byte
    • write a memory with a_mask != '1 when it doesn’t support partial accesses
    • read a WO (write-only) memory
    • write a RO (read-only) memory
    • write with instr_type = True

tl_d_outstanding_access

Tests:

  • rom_ctrl_csr_hw_reset
  • rom_ctrl_csr_rw
  • rom_ctrl_csr_aliasing
  • rom_ctrl_same_csr_outstanding

Drive back-to-back requests without waiting for response to ensure there is one transaction outstanding within the TL device. Also, verify one outstanding when back- to-back accesses are made to the same address.

tl_d_partial_access

Tests:

  • rom_ctrl_csr_hw_reset
  • rom_ctrl_csr_rw
  • rom_ctrl_csr_aliasing
  • rom_ctrl_same_csr_outstanding

Access CSR with one or more bytes of data. For read, expect to return all word value of the CSR. For write, enabling bytes should cover all CSR valid fields.

Stage V2S Testpoints

corrupt_sig_fatal_chk

Test: rom_ctrl_corrupt_sig_fatal_chk

Corrupt integrity of signals like the select signal to addr mux.

Checks:

  • Check that fatal error is flagged.

passthru_mem_tl_intg_err

Test: rom_ctrl_passthru_mem_tl_intg_err

Verify data integrity is stored in the passthru memory rather than generated after a read.

  • Randomly read a memory location and check the data integrity is correct.
  • Backdoor inject fault into this location.
  • Check the data integrity is incorrect but there is no d_error as the memory block should just pass the stored data and integrity to the processor where the integrity is compared.
  • Above sequences will be run with csr_rw_vseq to ensure it won’t affect CSR accesses.

tl_intg_err

Tests:

  • rom_ctrl_tl_intg_err
  • rom_ctrl_sec_cm

Verify that the data integrity check violation generates an alert.

  • Randomly inject errors on the control, data, or the ECC bits during CSR accesses. Verify that triggers the correct fatal alert.
  • Inject a fault at the onehot check in u_reg.u_prim_reg_we_check and verify the corresponding fatal alert occurs

prim_fsm_check

Test: rom_ctrl_sec_cm

Verify that entering to an undefined state generates a fatal alert.

Stimulus:

  • Backdoor force the FSM to any of the undefined values.
  • Randomly force the FSM back to a defined state to ensure the error is latched and won’t go away until reset.
  • Within the next few cycles, the FSM landing in an invalid state should trigger a fatal alert.
  • Repeat for ALL prim_fsm instances in the DUT.

Checks:

  • Check that fatal alert is triggered.
  • Check that err_code/fault_status is updated correctly and preserved until reset.
  • Verify any operations that follow fail (as applicable).

sec_cm_checker_ctr_consistency

Test: rom_ctrl_corrupt_sig_fatal_chk

Verify the countermeasure(s) CHECKER.CTR.CONSISTENCY. Once rom_ctrl has handed control of the mux to the bus, the internal FSM counter should point at the top of ROM. The unexpected_counter_change signal in rom_ctrl_fsm goes high and generates a fatal alert if that counter is perturbed in any way. To test this, addr_q in the counter is corrupted with any value other than the ROM’s top address.

sec_cm_checker_ctrl_flow_consistency

Test: rom_ctrl_corrupt_sig_fatal_chk

Verify the countermeasure(s) CHECKER.CTRL_FLOW.CONSISTENCY. The main checker FSM steps on internal ‘done’ signals, coming from its address counter, the KMAC response and its comparison counter. If any of these are asserted at times we don’t expect, the FSM jumps to an invalid state. This triggers an alert and will not set the external ‘done’ signal for pwrmgr to continue boot.

sec_cm_checker_fsm_local_esc

Test: rom_ctrl_corrupt_sig_fatal_chk

Verify the countermeasure(s) CHECKER.FSM.LOCAL_ESC. Check that fsm_state reaches invalid state whenever a fatal alert is signalled.

sec_cm_compare_ctrl_flow_consistency

Test: rom_ctrl_corrupt_sig_fatal_chk

Verify the countermeasure(s) COMPARE.CTRL_FLOW.CONSISTENCY. The main checker FSM steps on internal ‘done’ signals, coming from its address counter, the KMAC response and its comparison counter. If any of these are asserted at times we don’t expect, the FSM jumps to an invalid state. This triggers an alert and will not set the external ‘done’ signal for pwrmgr to continue boot. To test this start_checker signal from rom_ctrl_fsm is asserted randomly.

sec_cm_compare_ctr_consistency

Test: rom_ctrl_corrupt_sig_fatal_chk

Verify the countermeasure(s) COMPARE.CTR.CONSISTENCY. The hash comparison module has an internal count. If this glitches to a nonzero value before the comparison starts or to a value other than the last index after the comparison ends then a fatal alert is generated.

sec_cm_compare_ctr_redun

Test: rom_ctrl_sec_cm

Verify the countermeasure(s) COMPARE.CTR.REDUN.

sec_cm_fsm_sparse

Test: rom_ctrl_sec_cm

Verify the countermeasure(s) FSM.SPARSE.

sec_cm_mem_scramble

Test: rom_ctrl_smoke

Verify the countermeasure(s) MEM.SCRAMBLE. Check that The ROM is scrambled.

sec_cm_mem_digest

Test: rom_ctrl_smoke

Verify the countermeasure(s) MEM.DIGEST. Check that a cSHAKE digest is computed of the ROM contents.

sec_cm_intersig_mubi

Test: rom_ctrl_smoke

Verify the countermeasure(s) INTERSIG.MUBI.

sec_cm_bus_integrity

Test: rom_ctrl_tl_intg_err

Verify the countermeasure(s) BUS.INTEGRITY.

sec_cm_bus_local_esc

Tests:

  • rom_ctrl_corrupt_sig_fatal_chk
  • rom_ctrl_kmac_err_chk

Verify the countermeasure(s) BUS.LOCAL_ESC. Check that in invalid state, rvalid is not asserted.

sec_cm_mux_mubi

Test: rom_ctrl_corrupt_sig_fatal_chk

Verify the countermeasure(s) MUX.MUBI. The mux that arbitrates between the checker and the bus is multi-bit encoded. An invalid value generates a fatal alert with the sel_invalid signal in rom_ctrl_mux module. To test this rom_select_bus_o is forced with any value other than MuBi4True and MuBi4False.

sec_cm_mux_consistency

Test: rom_ctrl_corrupt_sig_fatal_chk

Verify the countermeasure(s) MUX.CONSISTENCY. The mux that arbitrates between the checker and the bus gives access to the checker at the start of time and then switches to the bus, never going back. If a glitch does cause it to switch back, a fatal alert is generated with the sel_reverted or sel_q_reverted_q signals in the rom_ctrl_mux module. To test this rom_select_bus_o is forced to MuBi4False after rom check is completed.

sec_cm_ctrl_redun

Test: rom_ctrl_corrupt_sig_fatal_chk

Verify the countermeasure(s) CTRL.REDUN. Inject errors into bus_rom_rom_index (which is how an attacker would get a different memory word) and then check that the data that gets read doesn’t match the data stored at the glitched address.

sec_cm_ctrl_mem_integrity

Test: rom_ctrl_passthru_mem_tl_intg_err

Verify the countermeasure(s) MEM.INTEGRITY.

sec_cm_tlul_fifo_ctr_redun

Test: rom_ctrl_sec_cm

Verify the countermeasure(s) TLUL_FIFO.CTR.REDUN.

Stage V3 Testpoints

stress_all_with_rand_reset

Test: rom_ctrl_stress_all_with_rand_reset

This test runs 3 parallel threads - stress_all, tl_errors and random reset. After reset is asserted, the test will read and check all valid CSR registers.

Covergroups

regwen_val_when_new_value_written_cg

Cover each lockable reg field with these 2 cases:

  • When regwen = 1, a different value is written to the lockable CSR field, and a read occurs after that.
  • When regwen = 0, a different value is written to the lockable CSR field, and a read occurs after that.

This is only applicable if the block contains regwen and locakable CSRs.

rom_ctrl_check_cg

Collect coverage on the outputs sent to the power manager to confirm that we see pass and fail results.

rom_ctrl_kmac_cg

Collect coverage on the rom_ctrl / kmac interface, specifically around stalling and back-pressure behavior.

The agent needs to cover the case where the kmac returns a digest before the rom_ctrl finishes reading the expected digest from memory, and also after.

rom_ctrl_tlul_cg

-Collect coverage on the two TLUL interfaces, specifically checking that we see requests around the same time as the rom check completes.

  • Collect coverage to ensure that a_valid goes high when rom check is in progress. This ensures that the scenario where TL accesses are blocked until the ROM check is done is covered.

tl_errors_cg

Cover the following error cases on TL-UL bus:

  • TL-UL protocol error cases.
  • OpenTitan defined error cases, refer to testpoint tl_d_illegal_access.

tl_intg_err_cg

Cover all kinds of integrity errors (command, data or both) and cover number of error bits on each integrity check.

Cover the kinds of integrity errors with byte enabled write on memory if applicable: Some memories store the integrity values. When there is a subword write, design re-calculate the integrity with full word data and update integrity in the memory. This coverage ensures that memory byte write has been issued and the related design logic has been verfied.