Hardware Interfaces

Referring to the Comportable guideline for peripheral device functionality, the module pwrmgr has the following hardware interfaces defined

  • Primary Clock: clk_i
  • Other Clocks: clk_slow_i, clk_lc_i, clk_esc_i
  • Bus Device Interfaces (TL-UL): tl
  • Bus Host Interfaces (TL-UL): none
  • Peripheral Pins for Chip IO: none

Inter-Module Signals

Port NamePackage::StructTypeActWidthDescription
pwr_astpwrmgr_pkg::pwr_astreq_rspreq1
pwr_rstpwrmgr_pkg::pwr_rstreq_rspreq1
pwr_clkpwrmgr_pkg::pwr_clkreq_rspreq1
pwr_otppwrmgr_pkg::pwr_otpreq_rspreq1
pwr_lcpwrmgr_pkg::pwr_lcreq_rspreq1
pwr_flashpwrmgr_pkg::pwr_flashunircv1
esc_rst_txprim_esc_pkg::esc_txunircv1
esc_rst_rxprim_esc_pkg::esc_rxunireq1
pwr_cpupwrmgr_pkg::pwr_cpuunircv1
wakeupslogicunircv6
rstreqslogicunircv2
ndmreset_reqlogicunircv1
straplogicunireq1
low_powerlogicunireq1
rom_ctrlrom_ctrl_pkg::pwrmgr_dataunircv1
fetch_enlc_ctrl_pkg::lc_txunireq1
lc_dft_enlc_ctrl_pkg::lc_txunircv1
lc_hw_debug_enlc_ctrl_pkg::lc_txunircv1
sw_rst_reqprim_mubi_pkg::mubi4unircv1
tltlul_pkg::tlreq_rsprsp1

Interrupts

Interrupt NameTypeDescription
wakeupEventWake from low power state. See wake info for more details

Security Alerts

Alert NameDescription
fatal_faultThis fatal alert is triggered when a fatal TL-UL bus integrity fault is detected.

Security Countermeasures

Countermeasure IDDescription
PWRMGR.BUS.INTEGRITYEnd-to-end bus integrity scheme.
PWRMGR.LC_CTRL.INTERSIG.MUBIlife cycle control / debug signals are multibit.
PWRMGR.ROM_CTRL.INTERSIG.MUBIrom control done/good signals are multibit.
PWRMGR.RSTMGR.INTERSIG.MUBIreset manager software request is multibit.
PWRMGR.ESC_RX.CLK.BKGN_CHKEscalation receiver has a background timeout check
PWRMGR.ESC_RX.CLK.LOCAL_ESCEscalation receiver clock timeout has a local reset escalation
PWRMGR.FSM.SPARSESparse encoding for slow and fast state machines.
PWRMGR.FSM.TERMINALWhen FSMs reach a bad state, go into a terminate state that does not recover without user or external host intervention.
PWRMGR.CTRL_FLOW.GLOBAL_ESCWhen global escalation is received, proceed directly to reset.
PWRMGR.MAIN_PD.RST.LOCAL_ESCWhen main power domain reset glitches, proceed directly to reset.
PWRMGR.CTRL.CONFIG.REGWENMain control protected by regwen.
PWRMGR.WAKEUP.CONFIG.REGWENWakeup configuration protected by regwen.
PWRMGR.RESET.CONFIG.REGWENReset configuration protected by regwen.