Hardware Interfaces

Referring to the Comportable guideline for peripheral device functionality, the module otp_ctrl has the following hardware interfaces defined

  • Primary Clock: clk_i
  • Other Clocks: clk_edn_i
  • Bus Device Interfaces (TL-UL): core_tl, prim_tl
  • Bus Host Interfaces (TL-UL): none

Peripheral Pins for Chip IO

Pin nameDirectionDescription
test[7:0]outputTest-related GPIOs. Only active in DFT-enabled life cycle states.

Inter-Module Signals

Port NamePackage::StructTypeActWidthDescription
otp_ext_voltage_hionone1
otp_ast_pwr_seqotp_ctrl_pkg::otp_ast_requnireq1Power sequencing signals to AST (VDD domain).
otp_ast_pwr_seq_hotp_ctrl_pkg::otp_ast_rspunircv1Power sequencing signals coming from AST (VCC domain).
ednedn_pkg::ednreq_rspreq1Entropy request to the entropy distribution network for LFSR reseeding and ephemeral key derivation.
pwr_otppwrmgr_pkg::pwr_otpreq_rsprsp1Initialization request/acknowledge from/to power manager.
lc_otp_vendor_testotp_ctrl_pkg::lc_otp_vendor_testreq_rsprsp1Vendor test control signals from/to the life cycle TAP.
lc_otp_programotp_ctrl_pkg::lc_otp_programreq_rsprsp1Life cycle state transition interface.
otp_lc_dataotp_ctrl_pkg::otp_lc_dataunireq1Life cycle state output holding the current life cycle state, the value of the transition counter and the tokens needed for life cycle transitions.
lc_escalate_enlc_ctrl_pkg::lc_txunircv1Life cycle escalation enable coming from life cycle controller. This signal moves all FSMs within OTP into the error state.
lc_creator_seed_sw_rw_enlc_ctrl_pkg::lc_txunircv1Provision enable qualifier coming from life cycle controller. This signal enables SW read / write access to the RMA_TOKEN and CREATOR_ROOT_KEY_SHARE0 and CREATOR_ROOT_KEY_SHARE1.
lc_seed_hw_rd_enlc_ctrl_pkg::lc_txunircv1Seed read enable coming from life cycle controller. This signal enables HW read access to the CREATOR_ROOT_KEY_SHARE0 and CREATOR_ROOT_KEY_SHARE1.
lc_dft_enlc_ctrl_pkg::lc_txunircv1Test enable qualifier coming from life cycle controller. This signals enables the TL-UL access port to the proprietary OTP IP.
lc_check_byp_enlc_ctrl_pkg::lc_txunircv1Life cycle partition check bypass signal. This signal causes the life cycle partition to bypass consistency checks during life cycle state transitions in order to prevent spurious consistency check failures.
otp_keymgr_keyotp_ctrl_pkg::otp_keymgr_keyunireq1Key output to the key manager holding CREATOR_ROOT_KEY_SHARE0 and CREATOR_ROOT_KEY_SHARE1.
flash_otp_keyotp_ctrl_pkg::flash_otp_keyreq_rsprsp1Key derivation interface for FLASH scrambling.
sram_otp_keyotp_ctrl_pkg::sram_otp_keyreq_rsprsp3Array with key derivation interfaces for SRAM scrambling devices.
otbn_otp_keyotp_ctrl_pkg::otbn_otp_keyreq_rsprsp1Key derivation interface for OTBN scrambling devices.
otp_hw_cfgotp_ctrl_part_pkg::otp_hw_cfgunireq1Output of the HW_CFG partition.
obs_ctrlast_pkg::ast_obs_ctrlunircv1AST observability control signals.
otp_obslogicunireq8AST observability bus.
core_tltlul_pkg::tlreq_rsprsp1
prim_tltlul_pkg::tlreq_rsprsp1

Interrupts

Interrupt NameTypeDescription
otp_operation_doneEventA direct access command or digest calculation operation has completed.
otp_errorEventAn error has occurred in the OTP controller. Check the ERR_CODE register to get more information.

Security Alerts

Alert NameDescription
fatal_macro_errorThis alert triggers if hardware detects an uncorrectable error during an OTP transaction, for example an uncorrectable ECC error in the OTP array.
fatal_check_errorThis alert triggers if any of the background checks fails. This includes the digest checks and concurrent ECC checks in the buffer registers.
fatal_bus_integ_errorThis fatal alert is triggered when a fatal TL-UL bus integrity fault is detected.
fatal_prim_otp_alertFatal alert triggered inside the OTP primitive, including fatal TL-UL bus integrity faults of the test interface.
recov_prim_otp_alertRecoverable alert triggered inside the OTP primitive.

Security Countermeasures

Countermeasure IDDescription
OTP_CTRL.BUS.INTEGRITYEnd-to-end bus integrity scheme.
OTP_CTRL.SECRET.MEM.SCRAMBLESecret partitions are scrambled with a full-round PRESENT cipher.
OTP_CTRL.PART.MEM.DIGESTIntegrity of buffered partitions is ensured via a 64bit digest.
OTP_CTRL.DAI.FSM.SPARSEThe direct access interface FSM is sparsely encoded.
OTP_CTRL.KDI.FSM.SPARSEThe key derivation interface FSM is sparsely encoded.
OTP_CTRL.LCI.FSM.SPARSEThe life cycle interface FSM is sparsely encoded.
OTP_CTRL.PART.FSM.SPARSEThe partition FSMs are sparsely encoded.
OTP_CTRL.SCRMBL.FSM.SPARSEThe scramble datapath FSM is sparsely encoded.
OTP_CTRL.TIMER.FSM.SPARSEThe background check timer FSM is sparsely encoded.
OTP_CTRL.DAI.CTR.REDUNThe direct access interface address counter employs a cross-counter implementation.
OTP_CTRL.KDI_SEED.CTR.REDUNThe key derivation interface counter employs a cross-counter implementation.
OTP_CTRL.KDI_ENTROPY.CTR.REDUNThe key derivation entropy counter employs a cross-counter implementation.
OTP_CTRL.LCI.CTR.REDUNThe life cycle interface address counter employs a cross-counter implementation.
OTP_CTRL.PART.CTR.REDUNThe address counter of buffered partitions employs a cross-counter implementation.
OTP_CTRL.SCRMBL.CTR.REDUNThe srambling datapath counter employs a cross-counter implementation.
OTP_CTRL.TIMER_INTEG.CTR.REDUNThe background integrity check timer employs a duplicated counter implementation.
OTP_CTRL.TIMER_CNSTY.CTR.REDUNThe background consistency check timer employs a duplicated counter implementation.
OTP_CTRL.TIMER.LFSR.REDUNThe background check LFSR is duplicated.
OTP_CTRL.DAI.FSM.LOCAL_ESCThe direct access interface FSM is moved into an invalid state upon local escalation.
OTP_CTRL.LCI.FSM.LOCAL_ESCThe life cycle interface FSM is moved into an invalid state upon local escalation.
OTP_CTRL.KDI.FSM.LOCAL_ESCThe key derivation interface FSM is moved into an invalid state upon local escalation.
OTP_CTRL.PART.FSM.LOCAL_ESCThe partition FSMs are moved into an invalid state upon local escalation.
OTP_CTRL.SCRMBL.FSM.LOCAL_ESCThe scramble datapath FSM is moved into an invalid state upon local escalation.
OTP_CTRL.TIMER.FSM.LOCAL_ESCThe background check timer FSM is moved into an invalid state upon local escalation.
OTP_CTRL.DAI.FSM.GLOBAL_ESCThe direct access interface FSM is moved into an invalid state upon global escalation via life cycle.
OTP_CTRL.LCI.FSM.GLOBAL_ESCThe life cycle interface FSM is moved into an invalid state upon global escalation via life cycle.
OTP_CTRL.KDI.FSM.GLOBAL_ESCThe key derivation interface FSM is moved into an invalid state upon global escalation via life cycle.
OTP_CTRL.PART.FSM.GLOBAL_ESCThe partition FSMs are moved into an invalid state upon global escalation via life cycle.
OTP_CTRL.SCRMBL.FSM.GLOBAL_ESCThe scramble datapath FSM is moved into an invalid state upon global escalation via life cycle.
OTP_CTRL.TIMER.FSM.GLOBAL_ESCThe background check timer FSM is moved into an invalid state upon global escalation via life cycle.
OTP_CTRL.PART.DATA_REG.INTEGRITYAll partition buffer registers are protected with ECC on 64bit blocks.
OTP_CTRL.PART.DATA_REG.BKGN_CHKThe digest of buffered partitions is recomputed and checked at pseudorandom intervals in the background.
OTP_CTRL.PART.MEM.REGRENUnbuffered (‘software’) partitions can be read-locked via a CSR until the next system reset.
OTP_CTRL.PART.MEM.SW_UNREADABLESecret buffered partitions become unreadable to software once they are locked via the digest.
OTP_CTRL.PART.MEM.SW_UNWRITABLEAll partitions become unwritable by software once they are locked via the digest.
OTP_CTRL.LC_PART.MEM.SW_NOACCESSThe life cycle partition is not directly readable nor writable via software.
OTP_CTRL.ACCESS.CTRL.MUBIThe access control signals going from the partitions to the DAI are MUBI encoded.
OTP_CTRL.TOKEN_VALID.CTRL.MUBIThe token valid signals going to the life cycle controller are MUBI encoded.
OTP_CTRL.LC_CTRL.INTERSIG.MUBIThe life cycle control signals are multibit encoded.
OTP_CTRL.TEST.BUS.LC_GATEDPrevent access to test signals and the OTP backdoor interface in non-test lifecycle states.
OTP_CTRL.TEST_TL_LC_GATE.FSM.SPARSEThe control FSM inside the TL-UL gating primitive is sparsely encoded.
OTP_CTRL.DIRECT_ACCESS.CONFIG.REGWENThe direct access CSRs are REGWEN protected.
OTP_CTRL.CHECK_TRIGGER.CONFIG.REGWENThe check trigger CSR is REGWEN protected.
OTP_CTRL.CHECK.CONFIG.REGWENThe check CSR is REGWEN protected.
OTP_CTRL.MACRO.MEM.INTEGRITYThe OTP macro employs a vendor-specific integrity scheme at the granularity of the native 16bit OTP words. The scheme is able to at least detect single bit errors.
OTP_CTRL.MACRO.MEM.CMThe OTP macro may contain additional vendor-specific countermeasures.

Registers

Summary of the core interface’s registers

NameOffsetLengthDescription
otp_ctrl.INTR_STATE0x04Interrupt State Register
otp_ctrl.INTR_ENABLE0x44Interrupt Enable Register
otp_ctrl.INTR_TEST0x84Interrupt Test Register
otp_ctrl.ALERT_TEST0xc4Alert Test Register
otp_ctrl.STATUS0x104OTP status register.
otp_ctrl.ERR_CODE0x144This register holds information about error conditions that occurred in the agents
otp_ctrl.DIRECT_ACCESS_REGWEN0x184Register write enable for all direct access interface registers.
otp_ctrl.DIRECT_ACCESS_CMD0x1c4Command register for direct accesses.
otp_ctrl.DIRECT_ACCESS_ADDRESS0x204Address register for direct accesses.
otp_ctrl.DIRECT_ACCESS_WDATA_00x244Write data for direct accesses.
otp_ctrl.DIRECT_ACCESS_WDATA_10x284Write data for direct accesses.
otp_ctrl.DIRECT_ACCESS_RDATA_00x2c4Read data for direct accesses.
otp_ctrl.DIRECT_ACCESS_RDATA_10x304Read data for direct accesses.
otp_ctrl.CHECK_TRIGGER_REGWEN0x344Register write enable for !!CHECK_TRIGGER.
otp_ctrl.CHECK_TRIGGER0x384Command register for direct accesses.
otp_ctrl.CHECK_REGWEN0x3c4Register write enable for !!INTEGRITY_CHECK_PERIOD and !!CONSISTENCY_CHECK_PERIOD.
otp_ctrl.CHECK_TIMEOUT0x404Timeout value for the integrity and consistency checks.
otp_ctrl.INTEGRITY_CHECK_PERIOD0x444This value specifies the maximum period that can be generated pseudo-randomly.
otp_ctrl.CONSISTENCY_CHECK_PERIOD0x484This value specifies the maximum period that can be generated pseudo-randomly.
otp_ctrl.VENDOR_TEST_READ_LOCK0x4c4Runtime read lock for the VENDOR_TEST partition.
otp_ctrl.CREATOR_SW_CFG_READ_LOCK0x504Runtime read lock for the CREATOR_SW_CFG partition.
otp_ctrl.OWNER_SW_CFG_READ_LOCK0x544Runtime read lock for the OWNER_SW_CFG partition.
otp_ctrl.VENDOR_TEST_DIGEST_00x584Integrity digest for the VENDOR_TEST partition.
otp_ctrl.VENDOR_TEST_DIGEST_10x5c4Integrity digest for the VENDOR_TEST partition.
otp_ctrl.CREATOR_SW_CFG_DIGEST_00x604Integrity digest for the CREATOR_SW_CFG partition.
otp_ctrl.CREATOR_SW_CFG_DIGEST_10x644Integrity digest for the CREATOR_SW_CFG partition.
otp_ctrl.OWNER_SW_CFG_DIGEST_00x684Integrity digest for the OWNER_SW_CFG partition.
otp_ctrl.OWNER_SW_CFG_DIGEST_10x6c4Integrity digest for the OWNER_SW_CFG partition.
otp_ctrl.HW_CFG_DIGEST_00x704Integrity digest for the HW_CFG partition.
otp_ctrl.HW_CFG_DIGEST_10x744Integrity digest for the HW_CFG partition.
otp_ctrl.SECRET0_DIGEST_00x784Integrity digest for the SECRET0 partition.
otp_ctrl.SECRET0_DIGEST_10x7c4Integrity digest for the SECRET0 partition.
otp_ctrl.SECRET1_DIGEST_00x804Integrity digest for the SECRET1 partition.
otp_ctrl.SECRET1_DIGEST_10x844Integrity digest for the SECRET1 partition.
otp_ctrl.SECRET2_DIGEST_00x884Integrity digest for the SECRET2 partition.
otp_ctrl.SECRET2_DIGEST_10x8c4Integrity digest for the SECRET2 partition.
otp_ctrl.SW_CFG_WINDOW0x10002048Any read to this window directly maps to the corresponding offset in the creator and owner software

INTR_STATE

Interrupt State Register

  • Offset: 0x0
  • Reset default: 0x0
  • Reset mask: 0x3

Fields

BitsTypeResetNameDescription
31:2Reserved
1rw1c0x0otp_errorAn error has occurred in the OTP controller. Check the ERR_CODE register to get more information.
0rw1c0x0otp_operation_doneA direct access command or digest calculation operation has completed.

INTR_ENABLE

Interrupt Enable Register

  • Offset: 0x4
  • Reset default: 0x0
  • Reset mask: 0x3

Fields

BitsTypeResetNameDescription
31:2Reserved
1rw0x0otp_errorEnable interrupt when INTR_STATE.otp_error is set.
0rw0x0otp_operation_doneEnable interrupt when INTR_STATE.otp_operation_done is set.

INTR_TEST

Interrupt Test Register

  • Offset: 0x8
  • Reset default: 0x0
  • Reset mask: 0x3

Fields

BitsTypeResetNameDescription
31:2Reserved
1wo0x0otp_errorWrite 1 to force INTR_STATE.otp_error to 1.
0wo0x0otp_operation_doneWrite 1 to force INTR_STATE.otp_operation_done to 1.

ALERT_TEST

Alert Test Register

  • Offset: 0xc
  • Reset default: 0x0
  • Reset mask: 0x1f

Fields

BitsTypeResetNameDescription
31:5Reserved
4wo0x0recov_prim_otp_alertWrite 1 to trigger one alert event of this kind.
3wo0x0fatal_prim_otp_alertWrite 1 to trigger one alert event of this kind.
2wo0x0fatal_bus_integ_errorWrite 1 to trigger one alert event of this kind.
1wo0x0fatal_check_errorWrite 1 to trigger one alert event of this kind.
0wo0x0fatal_macro_errorWrite 1 to trigger one alert event of this kind.

STATUS

OTP status register.

  • Offset: 0x10
  • Reset default: 0x0
  • Reset mask: 0x1ffff

Fields

BitsTypeResetNameDescription
31:17Reserved
16ro0x0CHECK_PENDINGSet to 1 if an integrity or consistency check triggered by the LFSR timer or via CHECK_TRIGGER is pending.
15ro0x0DAI_IDLESet to 1 if the DAI is idle and ready to accept commands.
14ro0x0BUS_INTEG_ERRORThis bit is set to 1 if a fatal bus integrity fault is detected. This error triggers a fatal_bus_integ_error alert.
13ro0x0KEY_DERIV_FSM_ERRORSet to 1 if the key derivation FSM has reached an invalid state. This raises an fatal_check_error alert and is an unrecoverable error condition.
12ro0x0SCRAMBLING_FSM_ERRORSet to 1 if the scrambling datapath FSM has reached an invalid state. This raises an fatal_check_error alert and is an unrecoverable error condition.
11ro0x0LFSR_FSM_ERRORSet to 1 if the LFSR timer FSM has reached an invalid state. This raises an fatal_check_error alert and is an unrecoverable error condition.
10ro0x0TIMEOUT_ERRORSet to 1 if an integrity or consistency check times out. This raises an fatal_check_error alert and is an unrecoverable error condition.
9ro0x0LCI_ERRORSet to 1 if an error occurred in the LCI. If set to 1, SW should check the ERR_CODE register at the corresponding index.
8ro0x0DAI_ERRORSet to 1 if an error occurred in the DAI. If set to 1, SW should check the ERR_CODE register at the corresponding index.
7ro0x0LIFE_CYCLE_ERRORSet to 1 if an error occurred in this partition. If set to 1, SW should check the ERR_CODE register at the corresponding index.
6ro0x0SECRET2_ERRORSet to 1 if an error occurred in this partition. If set to 1, SW should check the ERR_CODE register at the corresponding index.
5ro0x0SECRET1_ERRORSet to 1 if an error occurred in this partition. If set to 1, SW should check the ERR_CODE register at the corresponding index.
4ro0x0SECRET0_ERRORSet to 1 if an error occurred in this partition. If set to 1, SW should check the ERR_CODE register at the corresponding index.
3ro0x0HW_CFG_ERRORSet to 1 if an error occurred in this partition. If set to 1, SW should check the ERR_CODE register at the corresponding index.
2ro0x0OWNER_SW_CFG_ERRORSet to 1 if an error occurred in this partition. If set to 1, SW should check the ERR_CODE register at the corresponding index.
1ro0x0CREATOR_SW_CFG_ERRORSet to 1 if an error occurred in this partition. If set to 1, SW should check the ERR_CODE register at the corresponding index.
0ro0x0VENDOR_TEST_ERRORSet to 1 if an error occurred in this partition. If set to 1, SW should check the ERR_CODE register at the corresponding index.

ERR_CODE

This register holds information about error conditions that occurred in the agents interacting with the OTP macro via the internal bus. The error codes should be checked if the partitions, DAI or LCI flag an error in the STATUS register, or when an INTR_STATE.otp_error has been triggered. Note that all errors trigger an otp_error interrupt, and in addition some errors may trigger either an fatal_macro_error or an fatal_check_error alert.

  • Offset: 0x14
  • Reset default: 0x0
  • Reset mask: 0x3fffffff

Fields

BitsTypeResetName
31:30Reserved
29:27ro0x0ERR_CODE_9
26:24ro0x0ERR_CODE_8
23:21ro0x0ERR_CODE_7
20:18ro0x0ERR_CODE_6
17:15ro0x0ERR_CODE_5
14:12ro0x0ERR_CODE_4
11:9ro0x0ERR_CODE_3
8:6ro0x0ERR_CODE_2
5:3ro0x0ERR_CODE_1
2:0ro0x0ERR_CODE_0

ERR_CODE . ERR_CODE_9

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

ERR_CODE . ERR_CODE_8

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

ERR_CODE . ERR_CODE_7

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

ERR_CODE . ERR_CODE_6

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

ERR_CODE . ERR_CODE_5

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

ERR_CODE . ERR_CODE_4

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

ERR_CODE . ERR_CODE_3

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

ERR_CODE . ERR_CODE_2

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

ERR_CODE . ERR_CODE_1

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

ERR_CODE . ERR_CODE_0

ValueNameDescription
0x0NO_ERRORNo error condition has occurred.
0x1MACRO_ERRORReturned if the OTP macro command was invalid or did not complete successfully due to a macro malfunction. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_macro_error alert.
0x2MACRO_ECC_CORR_ERRORA correctable ECC error has occured during an OTP read operation. The corresponding controller automatically recovers from this error when issuing a new command.
0x3MACRO_ECC_UNCORR_ERRORAn uncorrectable ECC error has occurred during an OTP read operation. This error should never occur during normal operation and is not recoverable. If this error is present this may be a sign that the device is malfunctioning. This error triggers an fatal_macro_error alert.
0x4MACRO_WRITE_BLANK_ERRORThis error is returned if a programming operation attempted to clear a bit that has previously been programmed to 1. The corresponding controller automatically recovers from this error when issuing a new command. Note however that the affected OTP word may be left in an inconsistent state if this error occurs. This can cause several issues when the word is accessed again (either as part of a regular read operation, as part of the readout at boot, or as part of a background check). It is important that SW ensures that each word is only written once, since this can render the device useless.
0x5ACCESS_ERRORThis error indicates that a locked memory region has been accessed. The corresponding controller automatically recovers from this error when issuing a new command.
0x6CHECK_FAIL_ERRORAn ECC, integrity or consistency mismatch has been detected in the buffer registers. This error should never occur during normal operation and is not recoverable. This error triggers an fatal_check_error alert.
0x7FSM_STATE_ERRORThe FSM of the corresponding controller has reached an invalid state, or the FSM has been moved into a terminal error state due to an escalation action via lc_escalate_en_i. This error should never occur during normal operation and is not recoverable. If this error is present, this is a sign that the device has fallen victim to an invasive attack. This error triggers an fatal_check_error alert.

DIRECT_ACCESS_REGWEN

Register write enable for all direct access interface registers.

  • Offset: 0x18
  • Reset default: 0x1
  • Reset mask: 0x1

Fields

BitsTypeResetNameDescription
31:1Reserved
0ro0x1DIRECT_ACCESS_REGWENThis bit is hardware-managed and only readable by software. The DAI sets this bit temporarily to 0 during an OTP operation such that the corresponding address and data registers cannot be modified while the operation is pending.

DIRECT_ACCESS_CMD

Command register for direct accesses.

Fields

BitsTypeResetNameDescription
31:3Reserved
2r0w1c0x0DIGESTInitiates the digest calculation and locking sequence for the partition specified by DIRECT_ACCESS_ADDRESS.
1r0w1c0x0WRInitiates a programming sequence that writes the data in DIRECT_ACCESS_WDATA_0 and DIRECT_ACCESS_WDATA_1 (for 64bit partitions) to the location specified by DIRECT_ACCESS_ADDRESS.
0r0w1c0x0RDInitiates a readout sequence that reads the location specified by DIRECT_ACCESS_ADDRESS. The command places the data read into DIRECT_ACCESS_RDATA_0 and DIRECT_ACCESS_RDATA_1 (for 64bit partitions).

DIRECT_ACCESS_ADDRESS

Address register for direct accesses.

Fields

BitsTypeResetName
31:11Reserved
10:0rw0x0DIRECT_ACCESS_ADDRESS

DIRECT_ACCESS_ADDRESS . DIRECT_ACCESS_ADDRESS

This is the address for the OTP word to be read or written through the direct access interface. Note that the address is aligned to the access size internally, hence bits 1:0 are ignored for 32bit accesses, and bits 2:0 are ignored for 64bit accesses.

For the digest calculation command, set this register to the partition base offset.

DIRECT_ACCESS_WDATA

Write data for direct accesses. Hardware automatically determines the access granule (32bit or 64bit) based on which partition is being written to.

  • Reset default: 0x0
  • Reset mask: 0xffffffff

Instances

NameOffset
DIRECT_ACCESS_WDATA_00x24
DIRECT_ACCESS_WDATA_10x28

Fields

BitsTypeResetNameDescription
31:0rw0x0DIRECT_ACCESS_WDATA

DIRECT_ACCESS_RDATA

Read data for direct accesses. Hardware automatically determines the access granule (32bit or 64bit) based on which partition is read from.

  • Reset default: 0x0
  • Reset mask: 0xffffffff

Instances

NameOffset
DIRECT_ACCESS_RDATA_00x2c
DIRECT_ACCESS_RDATA_10x30

Fields

BitsTypeResetNameDescription
31:0ro0x0DIRECT_ACCESS_RDATA

CHECK_TRIGGER_REGWEN

Register write enable for CHECK_TRIGGER.

  • Offset: 0x34
  • Reset default: 0x1
  • Reset mask: 0x1

Fields

BitsTypeResetNameDescription
31:1Reserved
0rw0c0x1CHECK_TRIGGER_REGWENWhen cleared to 0, the CHECK_TRIGGER register cannot be written anymore. Write 0 to clear this bit.

CHECK_TRIGGER

Command register for direct accesses.

Fields

BitsTypeResetName
31:2Reserved
1r0w1c0x0CONSISTENCY
0r0w1c0x0INTEGRITY

CHECK_TRIGGER . CONSISTENCY

Writing 1 to this bit triggers a consistency check. SW should monitor STATUS.CHECK_PENDING and wait until the check has been completed. If there are any errors, those will be flagged in the STATUS and ERR_CODE registers, and via interrupts and alerts.

CHECK_TRIGGER . INTEGRITY

Writing 1 to this bit triggers an integrity check. SW should monitor STATUS.CHECK_PENDING and wait until the check has been completed. If there are any errors, those will be flagged in the STATUS and ERR_CODE registers, and via the interrupts and alerts.

CHECK_REGWEN

Register write enable for INTEGRITY_CHECK_PERIOD and CONSISTENCY_CHECK_PERIOD.

  • Offset: 0x3c
  • Reset default: 0x1
  • Reset mask: 0x1

Fields

BitsTypeResetNameDescription
31:1Reserved
0rw0c0x1CHECK_REGWENWhen cleared to 0, INTEGRITY_CHECK_PERIOD and CONSISTENCY_CHECK_PERIOD registers cannot be written anymore. Write 0 to clear this bit.

CHECK_TIMEOUT

Timeout value for the integrity and consistency checks.

  • Offset: 0x40
  • Reset default: 0x0
  • Reset mask: 0xffffffff
  • Register enable: CHECK_REGWEN

Fields

BitsTypeResetName
31:0rw0x0CHECK_TIMEOUT

CHECK_TIMEOUT . CHECK_TIMEOUT

Timeout value in cycles for the for the integrity and consistency checks. If an integrity or consistency check does not complete within the timeout window, an error will be flagged in the STATUS register, an otp_error interrupt will be raised, and an fatal_check_error alert will be sent out. The timeout should be set to a large value to stay on the safe side. The maximum check time can be upper bounded by the number of cycles it takes to readout, scramble and digest the entire OTP array. Since this amounts to roughly 25k cycles, it is recommended to set this value to at least 100’000 cycles in order to stay on the safe side. A value of zero disables the timeout mechanism (default).

INTEGRITY_CHECK_PERIOD

This value specifies the maximum period that can be generated pseudo-randomly. Only applies to the HW_CFG and SECRET* partitions once they are locked.

  • Offset: 0x44
  • Reset default: 0x0
  • Reset mask: 0xffffffff
  • Register enable: CHECK_REGWEN

Fields

BitsTypeResetName
31:0rw0x0INTEGRITY_CHECK_PERIOD

INTEGRITY_CHECK_PERIOD . INTEGRITY_CHECK_PERIOD

The pseudo-random period is generated using a 40bit LFSR internally, and this register defines the bit mask to be applied to the LFSR output in order to limit its range. The value of this register is left shifted by 8bits and the lower bits are set to 8’hFF in order to form the 40bit mask. A recommended value is 0x3_FFFF, corresponding to a maximum period of ~2.8s at 24MHz. A value of zero disables the timer (default). Note that a one-off check can always be triggered via CHECK_TRIGGER.INTEGRITY.

CONSISTENCY_CHECK_PERIOD

This value specifies the maximum period that can be generated pseudo-randomly. This applies to the LIFE_CYCLE partition and the HW_CFG and SECRET* partitions once they are locked.

  • Offset: 0x48
  • Reset default: 0x0
  • Reset mask: 0xffffffff
  • Register enable: CHECK_REGWEN

Fields

BitsTypeResetName
31:0rw0x0CONSISTENCY_CHECK_PERIOD

CONSISTENCY_CHECK_PERIOD . CONSISTENCY_CHECK_PERIOD

The pseudo-random period is generated using a 40bit LFSR internally, and this register defines the bit mask to be applied to the LFSR output in order to limit its range. The value of this register is left shifted by 8bits and the lower bits are set to 8’hFF in order to form the 40bit mask. A recommended value is 0x3FF_FFFF, corresponding to a maximum period of ~716s at 24MHz. A value of zero disables the timer (default). Note that a one-off check can always be triggered via CHECK_TRIGGER.CONSISTENCY.

VENDOR_TEST_READ_LOCK

Runtime read lock for the VENDOR_TEST partition.

Fields

BitsTypeResetNameDescription
31:1Reserved
0rw0c0x1VENDOR_TEST_READ_LOCKWhen cleared to 0, read access to the VENDOR_TEST partition is locked. Write 0 to clear this bit.

CREATOR_SW_CFG_READ_LOCK

Runtime read lock for the CREATOR_SW_CFG partition.

Fields

BitsTypeResetNameDescription
31:1Reserved
0rw0c0x1CREATOR_SW_CFG_READ_LOCKWhen cleared to 0, read access to the CREATOR_SW_CFG partition is locked. Write 0 to clear this bit.

OWNER_SW_CFG_READ_LOCK

Runtime read lock for the OWNER_SW_CFG partition.

Fields

BitsTypeResetNameDescription
31:1Reserved
0rw0c0x1OWNER_SW_CFG_READ_LOCKWhen cleared to 0, read access to the OWNER_SW_CFG partition is locked. Write 0 to clear this bit.

VENDOR_TEST_DIGEST

Integrity digest for the VENDOR_TEST partition. The integrity digest is 0 by default. Software must write this digest value via the direct access interface in order to lock the partition. After a reset, write access to the VENDOR_TEST partition is locked and the digest becomes visible in this CSR.

  • Reset default: 0x0
  • Reset mask: 0xffffffff

Instances

NameOffset
VENDOR_TEST_DIGEST_00x58
VENDOR_TEST_DIGEST_10x5c

Fields

BitsTypeResetNameDescription
31:0ro0x0VENDOR_TEST_DIGEST

CREATOR_SW_CFG_DIGEST

Integrity digest for the CREATOR_SW_CFG partition. The integrity digest is 0 by default. Software must write this digest value via the direct access interface in order to lock the partition. After a reset, write access to the CREATOR_SW_CFG partition is locked and the digest becomes visible in this CSR.

  • Reset default: 0x0
  • Reset mask: 0xffffffff

Instances

NameOffset
CREATOR_SW_CFG_DIGEST_00x60
CREATOR_SW_CFG_DIGEST_10x64

Fields

BitsTypeResetNameDescription
31:0ro0x0CREATOR_SW_CFG_DIGEST

OWNER_SW_CFG_DIGEST

Integrity digest for the OWNER_SW_CFG partition. The integrity digest is 0 by default. Software must write this digest value via the direct access interface in order to lock the partition. After a reset, write access to the OWNER_SW_CFG partition is locked and the digest becomes visible in this CSR.

  • Reset default: 0x0
  • Reset mask: 0xffffffff

Instances

NameOffset
OWNER_SW_CFG_DIGEST_00x68
OWNER_SW_CFG_DIGEST_10x6c

Fields

BitsTypeResetNameDescription
31:0ro0x0OWNER_SW_CFG_DIGEST

HW_CFG_DIGEST

Integrity digest for the HW_CFG partition. The integrity digest is 0 by default. The digest calculation can be triggered via the DIRECT_ACCESS_CMD. After a reset, the digest then becomes visible in this CSR, and the corresponding partition becomes write-locked.

  • Reset default: 0x0
  • Reset mask: 0xffffffff

Instances

NameOffset
HW_CFG_DIGEST_00x70
HW_CFG_DIGEST_10x74

Fields

BitsTypeResetNameDescription
31:0ro0x0HW_CFG_DIGEST

SECRET0_DIGEST

Integrity digest for the SECRET0 partition. The integrity digest is 0 by default. The digest calculation can be triggered via the DIRECT_ACCESS_CMD. After a reset, the digest then becomes visible in this CSR, and the corresponding partition becomes write-locked.

  • Reset default: 0x0
  • Reset mask: 0xffffffff

Instances

NameOffset
SECRET0_DIGEST_00x78
SECRET0_DIGEST_10x7c

Fields

BitsTypeResetNameDescription
31:0ro0x0SECRET0_DIGEST

SECRET1_DIGEST

Integrity digest for the SECRET1 partition. The integrity digest is 0 by default. The digest calculation can be triggered via the DIRECT_ACCESS_CMD. After a reset, the digest then becomes visible in this CSR, and the corresponding partition becomes write-locked.

  • Reset default: 0x0
  • Reset mask: 0xffffffff

Instances

NameOffset
SECRET1_DIGEST_00x80
SECRET1_DIGEST_10x84

Fields

BitsTypeResetNameDescription
31:0ro0x0SECRET1_DIGEST

SECRET2_DIGEST

Integrity digest for the SECRET2 partition. The integrity digest is 0 by default. The digest calculation can be triggered via the DIRECT_ACCESS_CMD. After a reset, the digest then becomes visible in this CSR, and the corresponding partition becomes write-locked.

  • Reset default: 0x0
  • Reset mask: 0xffffffff

Instances

NameOffset
SECRET2_DIGEST_00x88
SECRET2_DIGEST_10x8c

Fields

BitsTypeResetNameDescription
31:0ro0x0SECRET2_DIGEST

SW_CFG_WINDOW

Any read to this window directly maps to the corresponding offset in the creator and owner software config partitions, and triggers an OTP readout of the bytes requested. Note that the transaction will block until OTP readout has completed.

  • Word Aligned Offset Range: 0x1000to0x17fc
  • Size (words): 512
  • Access: ro
  • Byte writes are not supported.

Summary of the prim interface’s registers

NameOffsetLengthDescription
otp_ctrl.CSR00x04
otp_ctrl.CSR10x44
otp_ctrl.CSR20x84
otp_ctrl.CSR30xc4
otp_ctrl.CSR40x104
otp_ctrl.CSR50x144
otp_ctrl.CSR60x184
otp_ctrl.CSR70x1c4

CSR0

  • Offset: 0x0
  • Reset default: 0x0
  • Reset mask: 0x7ff3ff7

Fields

BitsTypeResetNameDescription
31:27Reserved
26:16rw0x0field4
15:14Reserved
13:4rw0x0field3
3Reserved
2rw0x0field2
1rw0x0field1
0rw0x0field0

CSR1

  • Offset: 0x4
  • Reset default: 0x0
  • Reset mask: 0xffffffff

Fields

BitsTypeResetNameDescription
31:16rw0x0field4
15rw0x0field3
14:8rw0x0field2
7rw0x0field1
6:0rw0x0field0

CSR2

  • Offset: 0x8
  • Reset default: 0x0
  • Reset mask: 0x1

Fields

BitsTypeResetNameDescription
31:1Reserved
0rw0x0field0

CSR3

  • Offset: 0xc
  • Reset default: 0x0
  • Reset mask: 0x7f3ff7

Fields

BitsTypeResetNameDescription
31:23Reserved
22ro0x0field8
21ro0x0field7
20ro0x0field6
19ro0x0field5
18ro0x0field4
17ro0x0field3
16rw1c0x0field2
15:14Reserved
13:4rw1c0x0field1
3Reserved
2:0rw1c0x0field0

CSR4

  • Offset: 0x10
  • Reset default: 0x0
  • Reset mask: 0x73ff

Fields

BitsTypeResetNameDescription
31:15Reserved
14rw0x0field3
13rw0x0field2
12rw0x0field1
11:10Reserved
9:0rw0x0field0

CSR5

  • Offset: 0x14
  • Reset default: 0x0
  • Reset mask: 0xffff3fff

Fields

BitsTypeResetNameDescription
31:16rw0x0field6
15:14Reserved
13ro0x0field5
12ro0x0field4
11:9ro0x0field3
8ro0x0field2
7:6rw0x0field1
5:0rw0x0field0

CSR6

  • Offset: 0x18
  • Reset default: 0x0
  • Reset mask: 0xffff1bff

Fields

BitsTypeResetNameDescription
31:16rw0x0field3
15:13Reserved
12rw0x0field2
11rw0x0field1
10Reserved
9:0rw0x0field0

CSR7

  • Offset: 0x1c
  • Reset default: 0x0
  • Reset mask: 0xc73f

Fields

BitsTypeResetNameDescription
31:16Reserved
15ro0x0field3
14ro0x0field2
13:11Reserved
10:8ro0x0field1
7:6Reserved
5:0ro0x0field0